MENU

Foundries have 28-nm yield issues, say execs

Foundries have 28-nm yield issues, say execs

Business news |
By eeNews Europe



In particular foundries are struggling with the introduction of 32-nm/28-nm high-K metal gate (HKMG) CMOS, according to Bob Johnson, research vice president at Gartner, speaking to a client meeting here.

"[Making] bulk silicon HKMG at 28-nm is hard. All foundries are having yield issues and defect density issues right now," he said, speaking along the same lines that executives at a couple of chip manufacturing equipment vendors had done a few days before.

In a recent conference call to discuss his company’s quarterly earnings, Richard Wallace, president and CEO of semiconductor equipment maker KLA-Tencor Corp., gave the same message, saying that foundries are investing in tools for 28-nm and running into challenges with yield. "Foundry players struggling with their yield issues at 28," Wallace said.

There is also a reducing demand at 28-nm as customers push out projects because of global economic uncertainty, Gartner’s Johnson said. And those designs that are going ahead are taking longer to qualify because of the customers’ tightening engineering budgets, he added.

This does not fit with some of the bullish comments that have come from foundry Taiwan Semiconductor Manufacturing Co. Ltd., (TSMC). Earlier this year TSMC was claiming that its design starts at 28-nm were there times what they were at the equivalent stage in the roll out of the 40-nm manufacturing processes. However, at that time TSMC was aiming for 20 percent annual growth in 2011. For the first 9 months of 2011 TSMC’s sales are 4.2 percent up on the equivalent sales in 2010. TSMC is still set to outgrow the overall chip market in 2011, but only by a few percentage points.

Johnson said that the foundries were taking the push out in demand at 28-nm as an opportunity to try and effect yield improvements. "In 2012, total 28-nm HKMG shipments will not exceed 200,000 300-mm wafers; or less than 4 percent of foundry’ revenue. Shipments won’t start until 2012 and its coming slower than people had previously thought," Johnson said.

A forecast of foundry shipments by technology node shows that 32/28-nm will take a time to get on to the same ramp curve as 45/40-nm. Source; Gartner.

When asked for specific problems Johnson said that Globalfoundries had experienced yield issues with its 32-nm SOI process. They had serviced customer Advanced Micro Devices Inc., but only by running more wafers. Intel, the world’s largest chip company, introduced HKMG earlier than the foundries and has already moved on to ramping 22-nn manufacturing. Johnson said the rest of the semiconductor industry is running about a node behind Intel.

KLA-Tencor’s Wallace compared the move to 28-nm with the move to the 40/45-nm node, when chip manufacturing yields "hit a speed bump." Wallace said a similar phenomenon was taking place at the 28-nm node, which caught many of KLA’s customers "because they thought they had it dialed in." Wallace noted that there is currently a range of yields at 28-nm, depending on the fab line. Wallace said yield issues at the 28-nm node are related to shrink and that KLA is benefitting from the trend with orders for wafer inspection and metrology equipment.

Steve Newberry, vice chairman and CEO of chip gear maker Lam Research Corp., said in his firm’s recent earnings call that foundries have been bringing 28-nm capacity online to the tune of roughly 40,000 to 50,000 wafer starts per month by the end of 2011. But, Newberry said, whether all of this 28-nm capacity is fully qualified and fully required is "an open question."

Newberry said foundries are caught in a bind because they are concerned that customers are feeding them overly optimistic projections about the need for 28-nm capacity and that it’s quite possible the actual demand for 28-nm might be lower than the capacity they are putting into place.

If you enjoyed this article, you will like the following ones: don't miss them by subscribing to :    eeNews on Google News

Share:

Linked Articles
10s